Registar

User Tag List

Likes Likes:  0
Página 2 de 9 PrimeiroPrimeiro 1234 ... ÚltimoÚltimo
Resultados 16 a 30 de 134
  1. #16
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Pena ainda faltar um ano até vermos chips grandes a 14nm. Até lá anda a AMD a ver os outros a fazer dinheiro.
    Esta primeira metade de 2015 vai ser má para a AMD.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  2. #17
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Vamos ver as repercursões destes meses todos a ver passar a caravana verde que já está a passar desde os inicios do ultimo trimestre de 2014.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  3. #18
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TMSC eying Apple for 16nm chips



    Apple A9 or A10 we wonder
    It looks like 2015 iPhones will be getting 14nm SoC chips manufactured by Samsung and GlobalFoundries.

    Since TSMC won most of the A8 20nm business and Samsung got roughly third of it, it looks like the tables will turn in 2015.
    Samsung / GlobalFoundries might be winning most of the A9 business with their 14nm FinFET manufacturing technology. TSMC thinks that not all is lost, as its 16nm FinFET processes (16FF and 16FF+) is shaping up to be quite competitive.
    The new Integrated fan-out (InFO) wafer-level packaging (WLP) technology might be something that will prompt Apple to reconsider its decision and bring it back to TSMC for its future A10 processors in 2016. The combination of InFO-WLP and FinFET process might help TSMC to compete for Apple's A10 chip orders, at least this is what sources in Taiwan claim.
    InFO-WLP the new way of packaging is a cheaper alternative to TSMC's existing CoWoS (chip on wafer on substrate) packaging, a technology that is used by most SoC manufacturers. The cost of TSMC's InFO-WLP higher than the competing flip-chip chip-scale packaging (FC-CSP), according to sources at IC design technology, but it has its benefits.
    TSMC plans to use InFO-WLP with 16nm FinFet and future 10nm manufacturing process. Let's just hope that 16nm transition will play out better than the 20nm, as we need smaller chip with lower consumption to get SoCs to a level of performance that will enable next-generation features on mobile devices, without having to increase battery capacity and obviously the size of the devices.
    Noticia:
    http://www.fudzilla.com/news/mobile/...for-16nm-chips
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  4. #19
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Nvidia joins Apple and Qualcomm on Samsung's 14nm node



    Getting crowded, isn't it?

    Nvidia appears to be the latest chip designer to use Samsung’s and GlobalFoundries’ 14nm node. The company won’t be alone, as the same node will be used by Apple, Qualcomm, AMD and of course Samsung.

    According to Business Korea, the new node will go online in the second quarter of 2015. Apple, Qualcomm and Nvidia will be among the first outfits to use it, aside from Samsung. Samsung could lead the way with the first 14nm ARM SoC in the upcoming Galaxy S6, but this is still speculation and nothing is confirmed.
    A Samsung official told the publication that 20nm is already a “mainstream technology” and that rivals are trying to compete with 16nm FinFET, but Samsung is in an advantage.
    “Our company has already introduced the production process of 14 nm FinFETs,” the unnamed Samsung source told Business Korea.
    Qualcomm is using TSMC’s planar 20nm node for its latest Snapdragon 810 flagship SoC and the chip seems to be experiencing some thermal issues, although Qualcomm is playing them down.
    As for Nvidia, its first FinFET product should be Parker, a SoC based on the company’s custom 64-bit Denver core and Maxwell GPU.
    Noticia:
    http://www.fudzilla.com/news/process...ng-s-14nm-node
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  5. #20
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC will be Apple ready soon



    16nm chips for the A10 processor

    TSMC will have its backend integrated fan-out (InFO) wafer-level packaging (WLP) technology ready for 16nm chips soon in the hope it can attract orders for Apple's A10 processors.

    The outfit's 20nm process successfully grabbed orders for Apple's A8 processors and took away business from Samsung Electronics.
    Apple defected back to Samsung to manufacture its A9 SoC chips and Samsung nicked the majority of the chip orders.
    However TSMC is letting it be known that its in-house developed InFO-WLP technology may help it win back orders from Apple in 2016. It will be first available for the foundry's 16nm FinFET process manufacturing in 2016, and be ready for a more-advanced 10nm process in 2017.
    TSMC planned InFO-WLP technology for the production of 20nm chips in 2015, but changed its mind as the foundry expects the combination of its InFO-WLP and FinFET process will help it compete for Apple's A10 chip orders.
    InFO-WLP is cheaper than TSMC's chip on wafer on substrate packaging, bit it is still pricer when compared to the cost of flip-chip chip-scale packaging (FC-CSP).
    Noticia:
    http://www.fudzilla.com/news/process...ple-ready-soon
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  6. #21
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Did chip espionage, IP theft give Samsung its 14nm manufacturing lead?

    For most of the past decade, the semiconductor industry has been led by the same pair of companies: Intel was the most-advanced integrated device manufacturer (IDM) and led the entire market, while TSMC was the most advanced contract manufacturer (sometimes called a pure-play foundry). Samsung’s leapfrog over TSMC to become the 14nm provider of choice. A recent article has argued that Samsung’s sudden change in fortunes was no fortuitous accident, but the result of sniping several critical TSMC employees. One man in particular is alleged to have boosted Samsung’s efforts — Liang Mong-song.TSMC first sued Liang back in 2011, alleging that the chip designer had given trade secrets to Samsung and broken his non-compete agreement. As evidence, the company submitted a lengthy report it commissioned from outside experts which compared various features of TSMC products against the manufacturing characteristics of their Samsung counterparts. As Samsung moved to lower process nodes, TSMC argued that its products began to increasingly resemble TSMC’s own hardware. According to TSMC’s engineers, the two foundries’ now have nearly identical 14/16nm process nodes.
    According to TSMC, Samsung began using a similar diamond shape for silicon-germanium rather than IBM’s u-shape. Image courtesy of ChipWorks.

    This isn’t as far-fetched as it might seem. Samsung has always worked closely with IBM (or had, up until the latter’s exit from the foundry business), and the entire point of the Common Platform Alliance between GlobalFoundries, IBM, Samsung, and Chartered (now part of GF) was to speed development and time-to-market by standardizing on a common set of methodologies. So, the fact that Samsung’s technology evolved to be less like IBM’s and more like TSMC’s could be construed as evidence of wrongdoing. Certainly there’s reason to think that Liang may have breached the spirit of his noncompete — apparently he left TSMC to teach in South Korea, but the foundry later discovered that the university he “taught” at was the Samsung Institute of Technology and his students were all veteran Samsung engineers. Liang was banned for working for Samsung until December 31, 2014.
    The collision between trade secrets and individual knowledge

    The judge in Liang’s case clearly felt that the engineer had engaged in a bad-faith breach of his non-compete agreement given that he was forbidden to work for Samsung for an additional period of months, but the punishment was a slap on the wrist compared to the potential damage to TSMC’s core business. According to Maybank’s Kim Eng:
    When comparing to a full-node migration, ie 20nm to 14nm at Samsung and Intel, TSMC’s half-node approach 16nm underperformed in cost reduction (by as much as 25% if not higher), power consumption and performance. In a very rare case, intel infamously highlighted the potential risks of TSMC’s 16nm undertaking during its Nov-13 investors’ day. After the initial round of evaluation, many customers “strongly encouraged” TSMC to enhance its 16nm technology offering.
    In other words, not only did Liang possibly tap his knowledge of TSMC’s cutting-edge implementations inappropriately, he may have done so at the worst possible time (from TSMC’s perspective). Samsung has come out of nowhere to lead in foundry manufacturing, at least in the short term. Maybank’s latest report on TSMC cut the company from “Hold” to “Sell” on the strength of Samsung’s 14nm ramp. According to Liang himself, he left TSMC after he was passed over for promotion and felt his work was under-appreciated by his former employer.

    Yet Samsung’s leapfrog over its rival isn’t as simple as a simple guilty/not-guilty verdict. Of the several chip engineers we reached out to for insight on how trade secrets play out in the real world, all of them pointed out the same things — when you hire an engineer, you’re hiring them for what they know. If that engineer has an expertise in SOI, or FinFETs, or cutting-edge III-V materials, you’re obviously intending to tap that expertise. It’s easy to identify some types of IP theft, such as when an employee leaves with a trove of documents or confidential roadmaps. Situations like this are much thornier — TSMC, to date, hasn’t filed a lawsuit against Samsung or its former employee.
    It may be tempting to pin Samsung’s rise to power on the actions of a single man, as CommonWealth magazine does, but I think this narrative is fundamentally inaccurate. Modern semiconductor manufacturing requires enormous capital input, teams of hundreds of engineers, and committing to a multi-year roadmap of iterative product improvement. No one person can singlehandedly drive this process for a sustained period of time. Whether Samsung’s 14nm lead turns into a sustained success or a momentary blip before TSMC retakes the pole position will depend not just on Liang, but on the entire ecosystem Samsung has built around its position and its ability to execute the contracts it takes now that its built the process node.
    Assim fica explicado como é que a Samsung deu um salto tão grande.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  7. #22
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TMSC to invest $16 billion in advanced chip factory



    To boost 16nm FinFet and beyond
    Reuters carried a rather interesting announcement about TSMC, the maker of most SoCs and GPUs we care about.

    Taiwan Semiconductor Manufacturing Company (TSMC) is planning to invest $500 billion Taiwanese dollars in an advanced chip factory. This translates to a saucy $16 billion USD, but the company declined to comment on the timeframe for the investment.
    Traditionally, semiconductor manufacturers like GlobalFoundries, TSMC, and Intel invest billions of dollars in the new technologies, new nodes, and despite the investments some transitions go wrong. The most recent example is the transition from 28nm to 20nm manufacturing, which was was delayed by almost two years.
    TSMC and Samsung have started manufacturing low-power A8 processors for Apple, while Samsung has some of its Exynos chips in 20nm. Qualcomm is getting its first Snapdragon 810 20nm chips as we speak and we expect vendors to start shipping devices based on the new chip in March.
    We have learned that high performance discrete GPUs will never make it to 20nm, simply as process has too much power leaks and poor yields with big cores. The GPU industry will move to 16nm FinFET at TSMC in 2016, or 14nm with Samsung and GlobalFoundries at about the same time. After 16nm FinFET, TSMC is planning to transition to 10nm as early as late 2016, but we believe that this is very optimistic timing.
    A $16 billion investment may sound like a lot of money, but Intel has invested more than $6 billion in Israel based fabs since 2006. Intel is right now preparing Israel fabs for 10nm, while Ireland is manufacturing most of Intel's 14nm SoCs right now. The next node after 10nm for Intel is 7nm, probably happening before the end of this decade.
    Back in 2009 in Albany, New York state, GlobalFoundries executives and chaps from The College of Nanoscale Science and Engineering were telling us that each transition cost to a new node grows exponentially. Despite large R&D investments, it is getting harder to transition from 28nm to 20nm to 14nm. This is one of the reasons why Samsung and GlobalFoundries have joined forces for their 14nm push.
    Noticia:
    http://www.fudzilla.com/news/process...d-chip-factory
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  8. #23
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC Won’t be Making 20nm GPUs – Investing $16 Billion in Advanced Chip Factory

    Authentic reports are starting to surface claiming that TSMC will be investing over $16 Billion (a very big amount even for the silicon industry) in advanced fabrication facilities. TSMC has recently been ramping its process up the physics slope and shrinking from 28nm to 20nm and 16nm FinFET. This news is actually very good news for the world of GPUs and SoCs. Because the better TSMC is, the better AMD and Nvidia are.
    Stock photo of a wafer at TSMC. @TSMC Public Domain
    TSMC: No 20nm GPUs, Investing $16 Bil in new fab

    The report states that a total of $500 Billion Taiwanese dollars will be spent on an the creation of an advanced chip factory (which roughly translates to $16 Billion). However, as most of our readers know, not all investments pan out – the obvious example being 20nm, which has proven to be an absolute thorn for anyone that is not Intel. Last reports suggested that TSMC is only manufacturing low power ASICs on the 20nm node, mostly of the mobility types, the Samsung Exynos and Qualcom Snapdragon chips being the obvious example.

    One of the most interesting things mentioned in the report is the fact that it states that TSMC will never make 20nm GPUs. The reason for this is the low yield associated with such big cores and high performance requirements. TSMC will shift to 16nm FinFET in 2016. Surprisingly it wants to transition to 10nm as early as late 2016 – in an attempt to shorten the lead on Intel no doubt. Although with the way things are going in the silicon industry, I wouldn’t put my money on it.
    Intel has already invested around $6 billion in Israeli fabrication facilities and is preparing them for 10nm (cannon lake) node. It has also begun R&D on 7nm. And the transition to that should happen before this decade ends hopefully. The problem of marginal diminishing returns grows ever larger. Exponentially more dollar is required to be invested for ever diminishing returns on IPC gains and node size. This is one of the primary reasons Samsung and GloFo have joined R&D to minimize costs and maximize utility in an attempt to beat the curve. Intel currently has a comfortable gain over the industry but if its not careful, it stands to loose just that.

    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  9. #24
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Nvidia: TSMC will remain a ‘very important’ foundry partner

    After IBM failed to produce enough code-named “NV40” graphics processing units for Nvidia Corp. back in 2004, the latter decided to make Taiwan Semiconductor Manufacturing Co. its strategic manufacturing partner. Since then, virtually all of Nvidia GPUs have been produced by TSMC with one or two exceptions. Apparently, Nvidia wants to keep it that way going forward.
    Recent market rumours suggested that Nvidia plans to use manufacturing capacities of Samsung Electronics or GlobalFoundries to produce some of its multimedia or graphics processors in the future. When asked about this during a conference call with investors and financial analysts this week, Jen-Hsun Huang, chief executive officer of Nvidia, neither confirmed nor denied the plan, but he clearly stated that TSMC will remain the strategic manufacturing partner of the company.
    “We always look at all foundries, and TSMC remains our most strategic [partner],” said Mr. Huang. “They are going to continue to be a very important partner for us for the foreseeable future.”

    Recently TSMC confirmed that it would only start to produce chips using 16nm FinFET process technology in the third quarter of the year, considerably later than Samsung Electronics and even GlobalFoundries. Moreover, given than many fabless chip designers are not confident of manufacturing capacities and yields of products made using various FinFET manufacturing processes, numerous developers create chips for different contract makers of semiconductors so to ensure stable supply.
    During the conference call Nvidia revealed nothing new about its future products and fabrication processes it is going to use, but said that test chips produced using TSMC’s 16nm FinFET manufacturing technology met its criteria.
    “TSMC is a fabulous supplier, […] their FinFET technology is excellent,” said the CEO of Nvidia. “[We have been] working with TSMC on FinFET now for a couple of years, and so we have quite a bit of confidence in their ability to deliver amazing FinFET transistors.”

    Nvidia is expected to release its first products made using 16nm FinFET process technology in 2016. The company’s code-named “Pascal” GPUs will be the first to be made using the new manufacturing tech.
    Since prices of high-volume manufacturing using leading-edge technologies have been increasing in the recent years, Nvidia could use its potential partnership with Samsung Electronics or GlobalFoundries to negotiate better pricing with TSMC. If that is the case, then actual volumes that the two foundries are set make for Nvidia are not going to be high.
    Noticia:
    http://www.kitguru.net/components/gr...undry-partner/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  10. #25
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Samsung to release 14nm octa, production underway



    Eight cores of terror

    Samsung has announced an updated version of its Exynos 7 Octa processor. Last year it announced a 64-bit, ARMv8 processor based on a 20nm design, but these new chips will be 14nm processors.

    The big news is that Samsung says mass production of the first chips developed with a 14nm FinFET process is underway. It looks like the Exynos 7 Octa processor will be the first to see the shift from 20nm to 14nm. Samsung says the technology will be used in additional products later in 2015.
    This could be good news in terms of efficiency and performance. Samsung says the new chips could be up to 20 percent faster while using 35 percent less power. Thing better performance and longer battery life.
    Intel's Broadwell chips are also based on 14nm designs. But those processors are designed for desktops, notebooks, and tablets, while Samsung's ARM-based chips are aimed at mobile devices, primarily smartphones.

    Like we said last week, Samsung has beaten Intel, Apple and Qualcomm in the race for the first 14nm phone SoC – and now it's in mass production to boot. We are just weeks away from Samsung shipping the Exynos 7 inside the Galaxy S6.
    Noticia:
    http://www.fudzilla.com/news/37037-s...ease-14nm-octa
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  11. #26
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    O mercado ARM é onde está a emoção toda actualmente. A luta entre gigantes está bem feroz.
    Por outro lado, o X86 anda a dormir. A AMD está parada há quase meia década e a Intel dorme à sombra da bananeira...
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  12. #27
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    O ARM é provavelmente o unico rival que a Intel tem ou pode vir a ter mediante a competição entre empresas que vá existindo no mercado móvel.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  13. #28
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Samsung 14nm SoC changes everything



    Qualcomm in trouble

    Recently Samsung announced that serial production of its new 14nm SoC has already commenced. The new part is going to end up in Samsung Galaxy S6 and a few other devices.

    This happens less than two quarters after Intel started production of Broadwell-Y 14nm CPUs, its first 14nm volume manufactured part.
    Intel has yet to introduce mobile phone and tablet SoC based on Braswell / Airmont, on the new 14nm manufacturing process, and Intel has the best manufacturing fabs in the world. A new manufacturing process like 14nm costs billions of dollars to deploy, and Intel is the only company that can do it on its own.
    The rest of the market needs TSMC, GlobalFoundries and other foundries to handle manufacturing for their SoC/GPU/CPU designs. The fact that TSMC and GlobalFoundries could not get 20nm ready for more than two years really hampered innovation. Samsung had some of its own Exynox SoCs in 20nm, but this was far from being significant in the big scheme of things.
    The transition from 28nm to 20nm manufacturing should result in a 20-percent performance increase and 35-percent less battery drain, but this process came very late. Transistors are simply smaller and need less power to work.
    The GPU industry will skip 20nm simply as yields were horrible with high performance parts such as GPUs. Apple had better luck with 20nm A8 SoC that ended up performing well in tens of millions phones and tablets around the world, but only in very late 2014. The 20nm manufacturing process was supposed to happen at least a year earlier, but it got delayed due to yield-related problems.
    The fact that Samsung goes 14nm before Apple and even before Intel in SoC phone space is a big statement. Samsung got good 14nm yields, as it is confident enough trusts it with its flagship Samsung Galaxy S6 phone. This means that it should be able to produce millions of Galaxy 6 phones based on 14nm Exynos 7420 SoC.
    The 14nm process should boost performance and reduce power consumption, but since 20nm was almost nonexistent for Android phones in 2014, we will compare this process with 28nm SoCs like the Snapdragon 801.
    Samsung’s 14nm SoC could end up close to 40 percent faster compared to 28nm SoCs and could enable battery life that is close to 50 percent better. This is a huge leap forward and currently Samsung will be the only beneficiary to this technology. The advantages are obvious - you don't need a 3000mAh battery on a flagship phone based on 14nm silicon, they can be lighter and thinner.
    For years Samsung had the same hardware like all the rest of the high end market. Qualcomm was the king until 2015 with its high end SoCs. Samsung Galaxy 5, HTC One M8 and LG G3 all had Snapdragon 801 and there well little difference between the performance that could mostly be attributed to Android customisations like Samsung TouchWiz, HTC Sense or LG's own interface.
    This time Samsung can have better SoC, while it remains to be seen if Samsung will use Apple’s approach and still decide to use Qualcomm's LTE external modem chip. Qualcomm, MediaTek and companies that became (were) irrelevant to phones like Nvidia and Intel should have their 14nm / 16nm SoCs in 2016.
    This doesn’t mean that Apple and Samsung will get to 10nm next year, as this is simply not realistic, but 14nm might give Samsung an edge. We expect the first phone SoCs based on 10nm in 2017 at best. Samsung has to play the software card well, as TouchWiz was one of the big complaints for its phones.
    The fact that LG wants to make its own SoC means that if you want to remain in the top league, you will have to make your own SoC like Apple, and Samsung. The question remains - does the investment in design and manufacturing of a SoC pay off? It is a huge risk for anyone, and getting well designed and safe chips from companies like Qualcomm definitely saves you a lot of money. Let’s see how good will Exynos 7420 really be against Snapdragon 810 – 20nm, and the rest of the competition.
    Noticia:
    http://www.fudzilla.com/news/mobile/...ges-everything
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  14. #29
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    10nm FinFET For Mobile Is Here; Samsung Claims World’s First 10nm Mobile SoC Technology

    Looks like Samsung has big plans for its future this time around. After the manufacturer chose to use its own in-house Exynos 7420 as the SoC of choice in the company’s upcoming Galaxy S6 and S6 Edge flagship devices, it has made another strong hardware announcement today. As soon as word started to surface about the Korean giant’s decision to equip the upcoming devices with its 14nm processor, the media started to speculate about potential power consumption and processing advantages on the processor that can only be tested once the devices become available. But 14nm isn’t where the the buck stops at Samsung with today’s announcement showing that the company intends to make a strong dent in the mobile hardware market indeed.


    Samsung Showcases World’s First 10nm FinFET Manufacturing Process In California

    At the International Solid State Circuits Conference (ISSCC) taking place from 22nd – 26th February (which means right now) in San Francisco California, the Korean manufacturing giant seems to have solidified its steps in the hardware segment with its latest announcement that’s far from unimpressive. Samsung has shown off the world’s first 10nm FinFET semiconductor manufacturing process, catching up to Intel in the process. Samsung’s announcement however, as highlighted above, seems solely for the mobile segment however.
    The onus of change now lies on Qualcomm, especially after the company has faced trouble related to its Snapdragon 810 over the past couple of months. With 10nm on the cards, Samsung could see several manufacturers consider its silicon, particularly if its able to successfully complete the transition towards mass production. But any and all 10nm chips shouldn’t be expected before 2016, or by good measure, 2017, in major mobile devices. Good news nevertheless. We’ll just stick to the upcoming Galaxy S6 launches for Samsung to really see what advancements the company’s 14nm processor carries on board.




    Noticia:
    http://wccftech.com/samsung-announce...#ixzz3Sgglwwcb



    Olha a Samsung a passar os outros todos
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  15. #30
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC: We will close the gap with Intel at 10nm!

    Taiwan Semiconductor Manufacturing Co. believes that its 10nm fabrication process will not only be available around the same time when Intel Corp. starts to use its 10nm technology, but will also offer similar performance and density as Intel’s.
    In a bid to speed up time-to-market of their manufacturing processes featuring FinFET transistors, leading contract makers of semiconductors had to mix multi-gate transistors with back-end-of-line (BEOL) interconnect flows of their 20nm manufacturing technologies. As a result, while TSMC, GlobalFoundries and Samsung Electronics formally offer their customers 14nm and 16nm FinFET processes, which can speed up various chips or cut down their power consumption, they only offer chip geometries comparable to those of products made using 20nm technologies. By contrast, Intel has “true” 14nm fabrication tech and can benefit from smaller CPU sizes and costs. With 10nm TSMC will move on to 10nm BEOL interconnects and thus will give its customers an opportunity to shrink their chips.
    “The performance of our 10nm, in terms of speed, power and density will be equal to what we believe Intel will define as its 10nm technology,” said Elizabeth Sun, TSMC Director of Corporate Communications, in an interview with EE Times. “Technology-wise, we think we can close the gap at 10nm.”

    According to TSMC’s predictions, its 10nm FinFET will offer over 25 per cent clock-rate improvement over the 16nm FinFET+ at the same power, it is projected to be 45 per cent more energy efficient and is predicted to provide 2.2 times higher density over 16nm FinFET+, which is a massive improvement. Risk production of 10nm FinFET chips is scheduled to start in the fourth quarter of 2015, whereas high-volume manufacturing will begin in 2017.
    As reported, TSMC will use both immersion as well as extreme ultraviolet (EUV) lithography tools for 10nm production. The company yet has to provide precise details how it plans to use EUV. The use of EUV for process technology beyond 10nm still depends on whether it will be ready for manufacturing.
    “We are working with ASML with the objective at some future point if extreme ultraviolet (EUV) becomes manufacturing ready, we can insert EUV partially to 10nm,” said Ms. Sun. “Partial insertion means only in a few critical layers. It is still a work in progress.”
    TSMC has been aggressively increasing spending on research and development and manufacturing capacity expansion in the recent years. This should help the company to offer manufacturing technologies comparable to those used by Intel to make its microprocessors.
    Noticia:
    http://www.kitguru.net/components/gr...intel-at-10nm/


    Será que consegue fechar o espaço para aquele que é apenas o maior fabricante de semi condutores, a Intel?
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

 

 
Página 2 de 9 PrimeiroPrimeiro 1234 ... ÚltimoÚltimo

Informação da Thread

Users Browsing this Thread

Estão neste momento 1 users a ver esta thread. (0 membros e 1 visitantes)

Bookmarks

Regras

  • Você Não Poderá criar novos Tópicos
  • Você Não Poderá colocar Respostas
  • Você Não Poderá colocar Anexos
  • Você Não Pode Editar os seus Posts
  •