Registar

User Tag List

Likes Likes:  0
Página 4 de 9 PrimeiroPrimeiro ... 23456 ... ÚltimoÚltimo
Resultados 46 a 60 de 134
  1. #46
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    UMC scoops up 28nm SoC orders from TSMC



    Qualcomm and MediaTek take the plunge
    Last week it emerged that TSMC may be losing some 28nm orders to other foundries, and now it appears that UMC is snatching its business.

    The rumours suggested MediaTek and Qualcomm are looking for cheaper 28nm alternatives, namely from UMC, SMIC and GlobalFoundries.
    Now it seems UMC is opening up the champers, but it's not alone.
    Cheap chips for China

    According to DigiTimes, UMC’s 28nm node has reached mature yield rates, and has attracted orders from Qualcomm and MediaTek. Since these are practically the two biggest smartphone SoC makers today, this is obviously great news for UMC – not so great for TSMC though.
    Qualcomm and MediaTek are apparently trying to get the best bang for their foundry buck, as they are racing to the bottom in the Chinese smartphone market. The vast market is dominated by 28nm parts, mostly quad- and octa-core Cortex-A7 and Cortex-A53 parts.
    Since smartphone ASPs in China are relatively low, every penny counts and cheaper chips get more design wins.
    SMIC and HLMC are being tapped too

    UMC is not the only foundry benefiting from Qualcomm’s and MediaTek’s newfound love of cheap silicon.
    GlobalFoundries, HLMC and SMIC have also secured orders for 28nm basedband parts and low- to mid-range 28nm application processors.
    Some smaller players are also moving away from TSMC. Nvidia is said to be taking its business to Samsung, at least as far as Tegra parts go. However, this is not something we can confirm at this point.

    Noticia:
    http://www.fudzilla.com/news/process...ders-from-tsmc
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  2. #47
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    28 nm GPUs Extended Through 2015: 20 nm Planar a Bust

    Process Technology Overview

    We have been very spoiled throughout the years. We likely did not realize exactly how spoiled we were until it became very obvious that the rate of process technology advances hit a virtual brick wall. Every 18 to 24 months we were treated to a new, faster, more efficient process node that was opened up to fabless semiconductor firms and we were treated to a new generation of products that would blow our hair back. Now we have been in a virtual standstill when it comes to new process nodes from the pure-play foundries.
    Few expected the 28 nm node to live nearly as long as it has. Some of the first cracks in the façade actually came from Intel. Their 22 nm Tri-Gate (FinFET) process took a little bit longer to get off the ground than expected. We also noticed some interesting electrical features from the products developed on that process. Intel skewed away from higher clockspeeds and focused on efficiency and architectural improvements rather than staying at generally acceptable TDPs and leapfrogging the competition by clockspeed alone. Overclockers noticed that the newer parts did not reach the same clockspeed heights as previous products such as the 32 nm based Sandy Bridge processors. Whether this decision was intentional from Intel or not is debatable, but my gut feeling here is that they responded to the technical limitations of their 22 nm process. Yields and bins likely dictated the max clockspeeds attained on these new products. So instead of vaulting over AMD’s products, they just slowly started walking away from them.

    Samsung is one of the first pure-play foundries to offer a working sub-20 nm FinFET product line. (Photo courtesy of ExtremeTech)
    When 28 nm was released the plans on the books were to transition to 20 nm products based on planar transistors, thereby bypassing the added expense of developing FinFETs. It was widely expected that FinFETs were not necessarily required to address the needs of the market. Sadly, that did not turn out to be the case. There are many other factors as to why 20 nm planar parts are not common, but the limitations of that particular process node has made it a relatively niche process node that is appropriate for smaller, low power ASICs (like the latest Apple SOCs). The Apple A8 is rumored to be around 90 mm square, which is a far cry from the traditional midrange GPU that goes from 250 mm sq. to 400+ mm sq.
    The essential difficulty of the 20 nm planar node appears to be a lack of power scaling to match the increased transistor density. TSMC and others have successfully packed in more transistors into every square mm as compared to 28 nm, but the electrical characteristics did not scale proportionally well. Yes, there are improvements there per transistor, but when designers pack in all those transistors into a large design, TDP and voltage issues start to arise. As TDP increases, it takes more power to drive the processor, which then leads to more heat. The GPU guys probably looked at this and figured out that while they can achieve a higher transistor density and a wider design, they will have to downclock the entire GPU to hit reasonable TDP levels. When adding these concerns to yields and bins for the new process, the advantages of going to 20 nm would be slim to none at the end of the day.
    Click here to read the rest of the 28 nm GPU editorial!
    Hindsight is of course 20/20, but back in 2012 we started to hear about a push to develop FD-SOI (fully depleted) products for 28 nm and 20 nm. AMD has a history of using PD-SOI (partially depleted), but when they spun off their fabrication arm to GLOBALFOUNDRIES, the group decided to forego development on any more SOI products and concentrate on bulk silicon (like Intel had done). The idea here was that materials such as those used in HKMG production would scale adequately from 28 nm to 20 nm, thereby delaying the R&D costs of developing FinFET technology for another couple of years. Why spend the money now if there is no pressing need for it? If bulk silicon and current materials could power the industry for the next few years, why go off on a sidebranch of SOI technology that could potentially not pay for itself?
    ST-Micro developed a 28 nm FD-SOI process, but unfortunately it was done at a Fab that could not provide nearly enough wafers a month to satisfy any kind of demand. If I remember correctly, it was limited to several hundred wafers a month. It would be enough to handle some RF designs, but it would be entirely inappropriate for any kind of large scale production of a part that would go into a GPU product line or a low power, mass produced handset. This particular process node was a great success in terms of power consumption and transistor switching performance. ST-Micro showed off ARM Cortex-A9 designs that hit 3 GHz all the while having better overall power characteristics at idle and full load than 28 nm HKMG products.
    We started hearing about the potential of this technology and that a theoretical 20 nm FD-SOI planar product would have slightly better electrical characteristics than Intel’s first generation 22 nm Tri-Gate. A gate-last implementation could have been class leading in terms of feature size and power/speed characteristics. Unfortunately for this technology, there was a lot of risk involved with developing a 20 nm FD-SOI product line. Equipment to handle bulk silicon will have to be modified or replaced entirely to handle FD-SOI. It is an expensive endeavor, plus while FD-SOI can support FinFET technology (FinFETs are in fact based on fully depleted deposited layers) most of the current research from multiple competitors has all been on bulk silicon. We can address “what ifs” all day, but when looking back it would have paid whoever had been able to develop planar FD-SOI handsomely when we look at how long 28 nm HKMG has been extended as a leading edge process technology.

    Apple's A8 SOC is one of the first large, mass produced chips based on 20 nm planar technology. (Photo courtesy of Chipworks)
    Looking over the foundry landscape we now understand why we have seen the 28 nm HKMG process last as long as it has. It is no longer cutting edge, but it is well understood and quite mature. AMD and NVIDIA have had to do a lot more in terms of design to overcome the limitations of the 28 nm HKMG process. Some years ago I had theorized that we would see a situation where process tech would simply come to a standstill for a longer than expected time, and that is when design and engineering would have to come to the fore to progress chip level improvements.

    28 nm for GPUs Through 2015
    This is where some speculation begins. So far we have only seen 28 nm products from NVIDIA as they have refreshed their lineup with Maxwell based parts. The GM200 is a massive chip at around 600 mm square, which is near the reasonable reticle limit of 28 nm. Yes, guys like IBM have chips that are larger in size, but these are not exactly mass produced parts that are supposed to have reasonable margins attached to them all the while addressing the consumer market. The GM200 looks to be the final puzzle piece for NVIDIA throughout 2015, with Pascal based parts being introduced in 2016.
    Noticia:
    http://www.pcper.com/reviews/Editori...nm-Planar-Bust

    Uma opinião bem interessante esta do Josh.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  3. #48
    Tech Membro Avatar de MAXLD
    Registo
    Mar 2013
    Local
    C.Branco
    Posts
    2,326
    Likes (Dados)
    0
    Likes (Recebidos)
    0
    Avaliação
    0
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    É algo que ele tem vindo a dizer nos podcasts, começa a haver ali uma barreira limite no processo onde para ter mais um degrau adicional de clocks, as dificuldades eléctricas + TDP sobem consideravelmente. E isso para certos casos mais críticos como GPUs é "no-go". Não é de admirar portanto os gastos de "R&D" para tentar dar a volta de outras formas noutros aspectos para tentar aguentar os 28nm.

  4. #49
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Já se tinha notado um abrandamento em novos processos de fabrico nos últimos anos. Mas parece que os 28nm vão marcar uma nova era de lançamentos de novos processos bem mais lentos.
    Realmente fomos muito mal habituados, tanto consumidores como fabricantes.
    Era frequente vermos melhorias de desempenho em GPUs onde apenas se atirava mais transístores lá para dentro por causa de um novo processo. A partir de agora o investimento em optimizar o uso de cada transístor vai ser bem maior.
    Nada de desperdiçar transístores à toa.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  5. #50
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    É algo que a nvidia já começou a fazer com o Kepler e agora com o Maxwell, aproveitar ao maximo cada transistor, mesmo o processo de fabrico sendo o mesmo.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  6. #51
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Mubadala: GlobalFoundries begins to ramp up production of 14nm chips

    Mubadala Development Co., the Abu Dhabi-based investment and development company, said this week that GlobalFoundries, which is owned by Mubadala, has already begun to ramp up production of chips using 14nm fabrication process.
    “GlobalFoundries announced a strategic collaboration with Samsung to deliver capacity at 14nm, one of the industry’s most advanced nodes, as Fab 8 in Malta, New York began ramping production for customers,” a statement by Mubadala reads.

    The 14LPE (low-power early) and the 14LPP (low-power plus) technologies were developed by Samsung Electronics and licensed to GlobalFoundries. The manufacturing processes use FinFET transistors, but rely on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology. While the two fabrication technologies do not significantly reduce costs of chips compared to the previous-generation node, they allow to boost performance of 20nm chips by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing their performance or complexity.
    Back in December, 2014, GlobalFoundries announced that it would start mass production of chips using 14nm LPE (low-power early) manufacturing process in the first half of 2015. Shortly after it transpired that the company stopped installation of new equipment needed for manufacturing of chips using 14nm FinFET manufacturing technology and began to stockpile the tools at a warehouse nearby. The contract maker of semiconductors said that there was no delay of 14nm mass production and stockpiling of the equipment was a logistical decision. As it appears, GlobalFoundries was correct and at present it is ramping up production of certain products for undisclosed customers.

    It is unknown what kind of chips GlobalFoundries is ramping up at the moment. Among the first customers to adopt Samsung Foundry’s 14nm LPE are Apple and Samsung itself. Theoretically, GlabalFoundries’ fab 8 could be ramping up production of Apple’s A9 application processors.
    GlobalFoundries itself yet has to confirm that it is ramping up production of chips using 14nm node.
    Noticia:
    http://www.kitguru.net/components/an...of-14nm-chips/


    Vamos a ver se a GF tem capacidade de produção neste novo processo de fabrico.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  7. #52
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    TSMC looking at new materials, transistor structures for sub-10nm tech

    Taiwan Semiconductor Manufacturing Co. this week confirmed that it has a team of engineers working on a manufacturing process that will succeed 10nm fabrication technology towards the end of the decade. While the company did not reveal details about its sub-10nm process, it said that it considers various options for it, including new lithography tools, transistor structures and materials.
    “We are working on future platform technology development,” said Suk Lee, senior director of design infrastructure marketing division of TSMC in an interview with Cadence. “We have a team working on the next generation after 10nm. Those technologies are going to be offered in the 2017 to 2019 period. We do not anticipate Moore’s Law is going to slow down anytime soon.”

    Back in February Intel Corp. and Samsung Electronics confirmed that their research and development (R&D) teams are already working on 7nm manufacturing technologies that will be used to make highly-sophisticated computer chips. Intel confirmed that it was looking at all-new materials for its 7nm production process. Recently rumours emerged that the chipmaker is working with Honeywell, a multinational conglomerate company with a lot of expertise in chemistry and high tech, on the materials for its 7nm manufacturing tech. Samsung Electronics said that it was considering switching to a new transistor structure from FinFET/tri-gate. According to the company, gate-all-around FETs will be viable at 7nm and beyond. Samsung also implied that it would look at new materials for its 7nm fabrication process as well.
    TSMC constantly makes updates regarding progress of its preparations to manufacturing using 10nm fabrication process and makes no secret that its process technology options are rather flexible. For example, TSMC is working with ASML, a leading maker of semiconductor manufacturing equipment, on a mid-node insertion of extreme ultraviolet lithography (EUV) at the 10nm logic node, which is expected to happen in late 2016. However, the company has always been tight-lipped on its processes beyond 10nm. In fact, the company even does not want to talk about exact feature size of the process.
    “I do not want to make any comment on a specific technology node number,” said Mr. Lee.
    But while we do not know what is exactly TSMC developing, the director of design infrastructure marketing division of TSMC revealed that the company is – just like its rivals – considering new materials and new transistor structures for its sub-10nm fabrication process.
    “We are looking at advanced materials, different kinds of transistor technologies,” said Mr. Lee.

    In fact, keeping in mind that TSMC definitely wants to use EUV lithography at some point, it is more concerned about tools rather than transistor structures or materials. Obviously, the company is working in different directions and at some point will make decisions regarding exact materials and transistor structures for its sub-10nm process tech. However, the EUV-related challenges preserve the company from making any announcements today.
    “But the immediate next big challenge has to do with continuing the ability to do patterning based on existing light sources,” stated the high-ranking TSMC rep. “At 10nm, no one is dependent on EUV. We have set up our 10nm technology so that when and if EUV comes on line we can take advantage of it. We continue to work with ASML on EUV tools. The technology itself is very complex. We need to get to a point where there’s sufficient wattage and uptime so there are significant wafer volumes.”
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  8. #53
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Rumour: Nvidia GPUs to use Samsung 14nm FinFET



    Tape-out, but more likely bad grapevine
    A rumour fresh out of Korea suggests Nvidia might be tapping Samsung as a GPU foundry, but there is a catch.

    The news comes from Korea Times, which quoted a source familiar with the matter. The source told the paper that the deal involved Nvidia GPUs, but it was a small contract. GPUs on 14nm? Something doesn’t add up

    If you are sceptical, don’t worry – so are we. While Nvidia is expected to use Samsung for its upcoming Tegra SoCs, this is the first time we heard it could also try using Samsung’s and Globalfoundries’ FinFET nodes for GPUs.
    This would obviously place Nvidia in an awkward situation, as it would basically be using an AMD spinoff to build its chips.
    There is another problem with the report. The source claims the deal is valued at “a few million dollars”, which would be barely enough to cover the cost of a single tape-out. In fact, it might not be enough at all. The cost of taping out FinFET chips is relatively high, as these are cutting edge nodes.
    Tegras or GPUs?

    We doubt Nvidia will ditch TSMC for Samsung, at least as far as GPUs are concerned.
    The most logical explanation would be that Nvidia has inked a deal with Samsung to tape-out Tegra chips rather than GPUs. The source may have simply mixed them up, that would explain everything.
    Still, there is always a chance Nvidia is looking at alternative nodes for its GPUs, but we just don’t see it happening, at least not yet.
    Noticia:
    http://www.fudzilla.com/news/graphic...ng-14nm-finfet
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  9. #54
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC: 16nm yields are approaching mature levels

    Although Taiwan Semiconductor Manufacturing Co. has delayed mass production of chips using its 16nm fabrication processes, this did not happen only because of low yields. According to the company, 16nm yields at TSMC are approaching mature levels.
    This year TSMC will offer two 16nm process technologies for clients: 16nm FinFET (CLN16FF) and 16nm FinFET+ (CLN16FF+). Both technologies use FinFET transistors, but rely on the back-end-of-line (BEOL) interconnect flow of the company’s 20nm SOC (CLN20SOC) fabrication process. Usage of FinFET transistors allows to increase clock-rate potential of chips by up to 40 per cent at the same power over chips made using 20nm technology.
    TSMC claims that 16nm FinFET+ provides up to 15 per cent performance improvement over the 16nm FinFET at the same level of power consumption. At the same frequency, integrated circuits produced using 16nm FinFET+ are projected to consume 30 per cent less power compared to the same chips made using 16nm FinFET.

    TSMC originally planned to start making 16nm chips in early 2015, but had to postpone the beginning of volume production due to undisclosed reasons. While TSMC’s motives to delay mass production were unclear, it does not look like the company has major problems with yields. According to Y.J. Mii, vice president of R&D at TSMC, CLN16FF+ yield is already approaching CLN20SoC yield (which is mature enough to use for commercial products), according to a Cadence blog post. The VP reportedly said that the 16FF+ provided better maturity at risk production than any previous TSMC process.
    TSMC has received over 12 CLN16FF+ tape outs so far and expects more than 50 product tape outs this year. High-volume production will begin in the third quarter, with meaningful revenue contribution starting in the Q4 2015.
    Noticia:
    http://www.kitguru.net/components/an...mature-levels/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  10. #55
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Ainda bem, pode ser que antes do final do ano já comecemos a ver gráficas nos 16nm.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  11. #56
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC cleans everyone's clock for now



    But writing on the wall

    Funloving TSMC, which installed trampolines for its employees under its high buildings in China, has reported a 65 percent rise in first-quarter net profit.


    Apparently its bottom line was boosted by "strong sales of iPhones" from its main client Apple, but since that statement came from Reuters which loves to put Apple in news stories were it does not belong and say things like "hot gadget" or "in demand" to refer to the products it we have to treat that statement with some sceptism.
    TSMC is world's largest contract chip manufacturer and it collected $2.54 billion for January-March and a quarterly revenue rise of 49.8 percent.
    Winter is coming

    However life does not look too grand for the future of the company. As rivals Samsung are doing rather well and are cosying up to Apple again. And even Intel is starting to make inroads into the mobile arena.
    TSMC lost orders to Samsung to produce chips for Apple's next-generation iPhone, likely due out this year.
    Samsung also opted for its own chips for its latest flagship phone over chips from Qualcomm which contracts a large share of its work to TSMC.
    Noticia:
    http://www.fudzilla.com/news/37548-t...-clock-for-now
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  12. #57
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC cuts Capex, Q2 sales to slip



    Worse than expected
    TSMC has released Q2 sales guidance, missing the mark and disappointing many analysts.

    The company said it expects a 7-8% quarterly drop in sales this quarter, which is quite a bit worse than the 5% decline analysts were expected. Analysts believe the reduction was caused by a cutback in Qualcomm SoC orders. Samsung’s decision to use in-house 14nm parts for its flagship phones is obviously a factor, too.
    Capex cut

    TSMC also announced that it would reduce its capital expenditure this year by as much as $1 billion. However, the company said this is good news, because 16nm migration is going faster than expected.
    TSMC previously made it clear that it expects foundry growth to slow down this year, due to the macroeconomic situation.
    However, the foundry still expects a recovery in the latter half of the year. The company expected 12% revenue growth this year, but now it has revised its forecast to “about 10%.”
    TSMC’s 28nm blues

    While the company claims its 16nm node is on track, and coming in under budget, the short term problem for TSMC is actually its legacy 28nm node. The company reportedly lost quite a few orders to SMIC and UMC, including orders from industry heavyweights like Qualcomm and MediaTek.
    We discussed why 28nm will remain a relevant node for several quarters to come in a recent feature. It will be used for low- to mid-range parts for at least another year.
    As for the 20nm node, Apple is still going strong and new Snapdragon 810 design wins are being announced on a weekly basis (Xiaomi, ZTE, LG and more). However, losing Samsung’s flagships is still a big deal and is bound to impact both Qualcomm and TSMC.
    Noticia:
    http://www.fudzilla.com/news/process...-sales-to-slip


    Pior que o esperado, é uma quebra acentuada.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  13. #58
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Apple rumoured to swap Samsung for TSMC



    Terrified by Galaxy S6
    Fruity cargo cult Apple is so terrified of the success that Samsung has had with its Galaxy S6 it has taken a chip order away from Samsung and given it to TSMC instead.


    The news comes from a KGI Securities analyst Ming-Chi Kuo via Apple Insider Ming has been issuing some very pro-Apple statements of late and some of the Tame Apple Press claim he has Tim Cook's inside trouser measurement. Earlier this week he claimed that Apple Watch pre-orders had hit 2.3 million. Now he said that Apple has recruited TSMC to make chips for the rumoured iPhone 7.
    Ming claimed it was because GlobalFoundries, is seeing a yield rate of 30 percent for the A9 chip, which Kuo said is well below Apple's mass-production "basic requirement" of 50 percent.
    But he also added that the switch to TSMC could also be blamed on the high demand seen for the Galaxy S6.
    Apple is reportedly concerned that, with Samsung supplying the 14nm chips inside its own Galaxy S6 and Galaxy S6 Edge, the company will not match the chip supply that Apple needs.
    Apple had apparently looked to Samsung to supply the majority of chips for its next-generation iPhone, according to previous reports.
    TSMC's 16nm FinFET Turbo design had exceeded Apple's expectations in yield rate and performance.
    It all strikes us as a bit weird as the change is extremely sudden and last minute and is the first comment ever made by anyone that Samsung's process is inferior to TSMC's which so far had been playing catch up. If yields at GloFlo were as low as 30 percent it would be a complete disaster which would have been known about for some time.
    TSMC failed to make any note of it in its predictions yesterday, in fact its results suggested disappointment that it had lost ground to Samsung.
    Historically Apple could be petty enough to switch suppliers at the last minute if the Galaxy S did really well, but that also would be cutting its nose off to spite its face.
    Noticia:
    http://www.fudzilla.com/news/37559-a...msung-for-tsmc
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  14. #59
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    AMD expects further APU, CPU declines, cuts chip orders to GF

    Advanced Micro Devices on Thursday revealed that it had signed a new wafer supply agreement (WSA) with GlobalFoundries for 2015. Based on the disclosed terms of the agreement, AMD will significantly reduce purchases from GlobalFoundries, which indicates that the company projects further declines of PC-related product shipments this year.
    Under the terms of the new agreement, AMD expects wafer purchases from GlobalFoundries to be approximately $1 billion this year on a take-or-pay basis, which is a decline from 2014. Last year AMD planned to spend $1.2 billion on purchases from GlobalFoundries, but its actual purchases from the company in 2014 were approximately $1 billion due to lower fourth quarter purchases.
    GlobalFoundries is AMD’s largest manufacturing partner. The company produces accelerated processing units, central processing units, graphics processing units as well as semi-custom APUs for video game consoles for the Sunnyvale, California-based chip designer.

    Since demand for PS4 and XB1 system-on-chips is expected to increase this year, this means that the share of such semi-custom APUs in AMD’s purchases from GlobalFoundries will rise as well. However, it also means that the share of APUs, CPUs and GPUs for personal computers will decline in AMD’s purchases from its main partner. Even in the best-case scenario, if everything goes well for AMD this year, the company does not expect sales of its microprocessors and accelerated processing units for PCs to exceed sales of such chips in 2014.
    In Q1 2015, AMD spent $161 million on wafer purchases from GlobalFoundries, which is another indicator that the company’s business is declining rapidly. By contrast, in Q1 2014, AMD spent approximately $250 million on wafer purchases from its key manufacturing partner.
    Both International Data Corp. and Gartner forecast that shipments of PCs will drop in 2015. Therefore, it is not surprising that AMD projects its APU, CPU and GPU sales to decline. However, it is noteworthy that AMD does not plan to reclaim market share it has lost to Intel Corp. over the years.
    Noticia:
    http://www.kitguru.net/components/gr...-cpu-declines/


    Nada de novo, não há novidades é natural que a produção diminua porque a procura também baixa.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  15. #60
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    A AMD é só destas noticias.....
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


 

 
Página 4 de 9 PrimeiroPrimeiro ... 23456 ... ÚltimoÚltimo

Informação da Thread

Users Browsing this Thread

Estão neste momento 1 users a ver esta thread. (0 membros e 1 visitantes)

Bookmarks

Regras

  • Você Não Poderá criar novos Tópicos
  • Você Não Poderá colocar Respostas
  • Você Não Poderá colocar Anexos
  • Você Não Pode Editar os seus Posts
  •