Registar

User Tag List

Likes Likes:  0
Página 1 de 9 123 ... ÚltimoÚltimo
Resultados 1 a 15 de 134
  1. #1
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    Global Foundries VS TSMC VS Samsung

    Segundo as últimas noticias dos lados da AMD, esta planeia mudar o fabrico dos seus GPUs da TSMC para a Global Foudries. Isto parece um erro da parte da AMD, pois a TSMC está mais adiantada nos processos de fabrico, mas pelos vistos a GF está a apostar forte, tanto em preços como em processos de fabrico. O plano agora é conseguir tentar atrair a Apple e a Qualcomm. Mas será que conseguem?

    GLOBALFOUNDRIES is eyeing TSMC's Apple

    As best we know TSMC is the sole fabricator of Apple's A8 chips on 20nm process, but so far from what DigiTimes has been able to determine that is not the case for the upcoming A9 chips. TSMC plans to keep pricing the same as they move to 14nm process tech but both Samsung and GLOBALFOUNDRIES are in a position where they could decide to drop their pricing in order to win business. Qualcomm has already placed orders for its 14nm chips with TSMC and Samsung but it is possible that with the experience GLOBALFOUNDRIES has with the 14nm process thanks to business from AMD they may also be able to undercut TSMC's pricing, assuming their yields can stay up.
    "Globalfoundries is striving to be among the major contract chipmakers of Qualcomm and Apple, vying for 14nm chip orders from the two vendors, according to industry sources."
    Última edição de Winjer : 16-01-15 às 18:13
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  2. #2
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    A AMD mudar para a Globalfoundries a parte da produção dos seus GPU´s acho que ainda vai atrasar mais a AMD a acompanhar a concorrencia em processos de fabrico, sendo que isto também pode significar um atraso nos seus produtos a chegar ao mercado, a cvantagem é que deixa de mandar fazer fora e começa a produzir na sua propria casa.

    Na parte de atrair a Qualcomm e a Apple, tenho duvidas, só se se modernizarem e ficar ao nível da TSMC, apostando depois em preços um pouco mais baixos ou numa maior produção.
    Isto são tudo cenários, mas vendo as dificuldades que a TSMC está a ter para passar para um novo processo de fabrico, não sei até que ponto a GF está preparada para não enfrentar esses problemas.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  3. #3
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    GlobalFoudries stops installations of 14nm tools, could delay production
    Although GlobalFoundries has licensed 14nm FinFET manufacturing technology from Samsung Electronics back in May, 2014, it looks like the company may have to delay mass production using the fabrication process. According to a media report, the company has stopped installation of equipment required to make chips using 14nm FinFET technology.
    “We have confirmed through numerous sources that over the last two weeks GlobalFoundries has stopped deliveries of tools for 14nm to its fab and instead is having the tools housed at a nearby warehouse,” said Robert Maire, a semiconductor equipment observer at Semiwatch, in a blog post.

    Since the 14nm FinFET fabrication process used by Samsung Electronics used by GlobalFoundries is not based on GF’s 20nm technology, the company needs to install certain new tools to start making chips using the manufacturing process. Unfortunately, it does not seem that the fab 8 in Saratoga county, New York, is ready for this equipment.
    “We hear that tool makers are told that the fab facilities are not ready and it sounds like a one to two quarter delay,” said Mr. Maire. “Some tool makers are speculating that the delay could also be related to financial issues or yield issues or a host of other odd rumors.”
    GlobalFoundries needs to “copy exactly” Samsung’s 14nm FinFET process technology. The companies need to maximally align their equipment setups before starting mass production so that the chips produced at different fabs would be completely identical.
    A number of companies have criticized Samsung’s 14nm FinFET process technology for low yields (just like many in the industry criticize TSMC’s 16nm FinFET), therefore, it may not be the right time for GlobalFoundries to install new equipment if the tools cannot be used for mass production.

    If GlobalFoundries delays installation of equipment necessary to make chips using 14nm FinFET fabrication process from, for example, mid-March to mid-July, then companies like Advanced Micro Devices will not tape out their forthcoming 14nm products in time. It usually takes two to three quarters from the initial tape-out of chips to their commercial launch. As a result, a three-month delay by GlobalFoundries could mean that certain companies will have to delay mass production from early 2016 to mid-2016, a major postponement in the semiconductor world. Obviously, it is possible to tape out chips at Samsung and then produce them at GF, but given FinFET yield issues and increased demands for capacity, it may not be that easy for Samsung to run test shuttle wafers for GF’s clients.
    It is interesting to note that due to low yields and high per-transistor costs of both 14nm FinFET (Samsung) and 16nm FinFET (TSMC), both foundries are boosting their spending on 10nm FinFET fabrication processes. A good news is that after absorbing IBM’s foundry business GlobalFoundries now has a lot more specialists to develop the technology. However, a bad news is that 10nm is still a couple of years away.
    According to market rumours, many fabless semiconductor developers are now working on chips that will be made at TSMC, Samsung and GlobalFoundies. Previously the majority of companies used only one or two manufacturing partners. However, it looks like due to yield and cost issues fabless designers of chips will use multiple foundry partners going forward.
    GlobalFoundries and Samsung did not comment on the news-story.
    Podemos ter aqui más noticias para a GF e para a AMD.
    A mudança da AMD para a GF pode causar sérios problemas se isto se confirmar.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  4. #4
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    GlobalFoundries denies problems with 14nm: everything is as planned

    GlobalFoundries, the world’s second largest contract manufacturer of chips, late on Tuesday denied existence of problems with the ramp up of production using 14nm fabrication process. According to the foundry, it specifically stockpiles manufacturing equipment at a warehouse so that to install it once the fab 8 facility becomes compatible with new tools.On Tuesday it was reported that GlobalFoundries stopped installation of new equipment needed to start manufacturing of chips using 14nm FinFET manufacturing technology the company licensed from Samsung Electronics. Market analysts believed that slowdowns with installations will result in delayed starts of mass production. However, GlobalFoundries claims that everything is on-track.
    “Our 14nm plan has not changed,” said Jason Gorss, a spokesperson for GlobalFoundries. “A key part of the strategy is to order tools ahead of facility readiness to enable the fastest possible ramp. Due to the large number of tools coming in, we have our vendors stage these tools at a nearby warehouse to facilitate a fast install. This logistical move is in no way related to yield challenges or a delay in our technology ramp and is, in fact, quite the opposite. Our Fab 8 ramp is on track and we have yielding customer product on our 14nm technology.”

    GlobalFoundries’ fab 8 manufacturing facility
    Earlier this month GlobalFoundries announced that it would start mass production of chips using 14nm LPE (low-power early) manufacturing process in the first half of 2015.
    Even though GlobalFoundries denies it has any yield issues with the 14nm production technology, it should be noted that all makers of chips ran into problems with FinFET fabrication processes. Even Intel Corp., the world’s largest maker of microprocessors, had to delay introduction of its code-named “Broadwell” processors made using 14nm tech by about a year because of yields.
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  5. #5
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Report: TSMC To Remain Primary A9 Supplier For Apple, Samsung Used As Backup

    From the early reports we've seen so far this year, it looked like Samsung would get to make Apple's A9 chips on 14nm FinFET because of a slight lead in production and possibly some slight advantages over TSMC's 16 nm FinFET process, as well. However, a new report from Taipei Times said Apple will likely stick with TSMC as its main supplier and only keep Samsung for backup.
    "The two companies' technological capabilities are similar, so the key factor will be whose mass-production yield is better," the Market Intelligence and Consulting Institute (MIC) director Chris Hung told reporters.
    Apple has been wanting to stop using Samsung as its chip manufacturing supplier for a long time, because Samsung is also its main competitor in the mobile market. Apple succeeded in replacing Samsung with TSMC for its 20nm A8 chips, also in part because Samsung's yields for the 20nm process weren't so great, according to Hung.
    At the same time, Apple doesn't want to put all bets on TSMC, so it might continue to use Samsung as well, for the production of the A9 or other chips.
    Although TSMC is the leading foundry right now, there's pressure from competition in China, which is trying to catch up. Hung doesn't believe this is an immediate worry for TSMC, which still maintains a healthy lead.
    "Although Beijing is to back up the development of its semiconductor industry with an industry-supporting fund of 120 billion yuan [US$19.29 billion], Taiwan still has its technological advantages in terms of design, manufacturing and packaging in the global market," Hung said.
    It could take three to five years for Chinese foundries to represent a serious threat to TSMC, but Mediatek and United Microelectronics Corp (UMC) are already starting to invest in local Chinese foundries. TSMC's foundry leadership in the mobile market could be threatened if Intel and Samsung continue to invest in Chinese foundries, as well.
    For the next few years, TSMC should remain Apple's and other mobile manufacturers' first choice, unless its process technology falls significantly behind the competition, but there isn't any sign of that happening in the near future.
    Noticia:
    http://www.tomshardware.com/news/tsm...ply,28288.html
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  6. #6
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    AMD switching 28nm process to GlobalFoundries in 2015


    AMD's close ties with semiconductor manufacturing company GlobalFoundries are hardly an industry secret, as just recently a former AMD executive departed to join the GlobalFoundries management team. In a further strengthening of relations AMD is expected to move more production towards GlobalFoundries during 2015.
    A new report suggests that AMD will transition additional 28nm production away from Taiwan Semiconductor Manufacturing Company (TSMC) to GlobalFoundries this year. GlobalFoundries' 28nm SHP (super-high performance) process, something AMD has already made use of on its Kaveri APUs, allows higher operating frequencies with the same voltages as TSMC's equivalent. That should enable AMD to release faster GPUs in 2015 without transitioning to a smaller process node, such as 20nm, or adversely affecting power consumption.
    AMD's 2015 graphics products will implement GCN 1.2 revisions, featuring similar design tweaks to the recently launched 'Tonga' R9 285 that sports improved colour compression, geometry and tessellation performance. The main process node for these products will now be Global Foundries' 28nm SHP, rather than TSMC 28nm, but the potential for products based on smaller process nodes, such as 20nm, is yet to be ruled out.
    AMD and TSMC aren't ready to part ways just yet with speculation hinting that TSMC will be responsible for the manufacture of AMD's next-generation 'Zen' CPUs. Zen is rumoured to overhaul the fundamental 'Bulldozer' architecture that has underpinned successive AMD CPUs; Bulldozer, Piledriver and Steamroller.
    Noticia:
    http://hexus.net/tech/news/industry/...oundries-2015/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  7. #7
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC’s 16nm FinFET Faces Major Delay – Qualcomm Jumps Ship To Samsung

    TSMC’s facing major issues with 16nm FinFET. Equipment installation and mass production have subsequently been delayed by 3-6 months.
    We exclusively told you three weeks ago that 16nm GPUs were delayed and that they will not make an appearance in 2015. Today this is public news as TSMC faces its second major 16nm FinFET delay. According to multiple reports, TSMC’s most recent 16nm issues are serious enough that Qualcomm has decided to jump ship to Samsung instead. Who conveniently managed to drive up yield significantly only recently.
    TSMC’s 16nm FinFET Faces Major Delay – Qualcomm Jumps Ship To Samsung

    The company had originally scheduled 16nm FinFET mass production for Q1 2015. Mainly to satisfy Apple’s A9 chip demands. However it fell short of meeting the original schedule and ended up moving volume 16nm production all the way to late Q2 early Q3. The company’s new target was June/July of 2015 for 16nm FinFET. And a quarter or two later for 16nm FinFET+. However now TSMC has reportedly postponed equipment installation from the first half of 2015 to the second half of 2015, pushing mass production deep into 2016.
    TSMC’s 16FF process is now an entire year behind the original schedule promised by the company. To make matters even worse Qualcomm has reportedly cancelled it’s trial risk production at the Taiwanese fab due to the low capacity and poor yields. In turn Samsung has reduced pricing, improved yields and increased production capacity for its 14nm FinFET process. And this is what prompted Qualcomm to finally decide to jump ship.


    This is great news for Globalfoundries, terrible news for TSMC. Because it means that not only can Samsung steal customers from TSMC but Globalfoundries will be able to do the same soon enough. Thanks to the 14nm FinFET partnership between Samsung and GloFo, yield learning is shared between the two via the copy-smart approach. Which means if one succeeds in pushing up yields the other will follow suit shortly afterwards.

    This isn’t particularly surprising for us as we had already told you four weeks ago that Samsung and GF had beaten TSMC to FinFETs. The timing is also important because Globalfoundries had already announced that it plans to begin volume production of 14nm FinFET products in the first quarter of 2015. Luckily the considerable yield “fix” that Samsung recently figured out means that GF can begin mass production with a solid baseline rather than having to start slowly like Samsung.
    If you remember a month ago we reported that Nvidia is listed under TSMC’s partners list for 16FF while AMD was suspiciously absent. On the GPU front this development means that AMD’s decision to make FinFET GPUs at Globalfoundries will soon pay dividends. As the delays faced by TSMC will not affect AMD’s schedule with Globalfoundries. We’ll continue to follow this closely as it’s interesting to see how this plays out and if it leads to any competitive advantage or disadvantage between AMD and Nvidia.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  8. #8
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Cada vez mais é difícil avançar para novos processos de fabrico.
    Esta noticia é muito má, pois vai atrasar muita coisa, incluindo as gráficas da nVidia.
    Será que a AMD neste momento está a esfregar as mãos de contentamento por ter mudado para a GF?
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  9. #9
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Provavelmente sim, a AMD terá acesso a um novo processo de fabrico que ainda ninguém tem.
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  10. #10
    Moderador Avatar de Winjer
    Registo
    Feb 2013
    Local
    Santo Tirso
    Posts
    12,672
    Likes (Dados)
    30
    Likes (Recebidos)
    208
    Avaliação
    4 (100%)
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)
    Vast majority of Apple A9 chip orders are going to Samsung and Globalfoundries

    According to industry sources, a whopping 70% of Apple A9 chips earmarked for the Apple iPhone 6s are being produced by Samsung and Globalfoundries. A report published on Friday says that both will use 14nm FinFET technology to manufacture the chips. TSMC will be responsible for the remaining 30% of A9 chips, which will be manufactured using 16nm FinFET tech. TSMC is responsible for the entire production of Apple A8 chips, current employed on the Apple iPhone 6 and Apple iPhone 6 Plus.

    Samsung is said to be ready to produce 30,000 to 40,000 12-inch wafers each month in order to feed its 14nm FinFET production. Demand for the final products from the process is led by both Apple and by Samsung itself. Besides Apple's A9 chip, Samsung will be in the middle of rolling its own 14nm Exynos chips off the assembly line.

    Figuring out who will make the next Apple Ax chip has become a parlor game. Usually, it is between Samsung and TSMC. Samsung has the edge now because it developed the 14nm FinFET process technology, which it licenses to other chip makers like Globalfoundries. The 14nm process allows the Apple A9 chip to be 20% more powerful while using 35% less battery life.
    Esta deve ter doido bastante à TSMC...
    Ryzen R5 3700X / Noctua NH-D15 / B550 AORUS ELITE V2 / Cooler Master H500 Mesh / 16Gb DDR4 @ 3800mhz CL16 / Gigabyte RTX 2070 Super / Seasonic Focus GX 750W / Sabrent Q Rocket 2 TB / Crucial MX300 500Gb + Samsung 250Evo 500Gb / Edifier R1700BT


  11. #11
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    TSMC to start volume production of 10nm chips in 2017

    Taiwan Semiconductor Manufacturing Co. has delayed volume production of chips using 16nm FinFET manufacturing technologies by several quarters. As a result, it now has to reconsider plans regarding production of semiconductors using 10nm FinFET fabrication process. Previously it was expected that the company will produce 10nm chips in late 2016, but at present the company’s official expectation is 2017.
    “Our 10nm technology development is progressing and our qualification schedule at the end of 2015, end of this year, remains the same,” said Mark Liu, president and co-CEO of TSMC, during a conference call with investors and financial analysts. “We are now working with customers for their product tape-outs. We expect its volume production in 2017.”
    According to TSMC’s predictions, its 10nm FinFET will offer over 25 per cent clock-rate improvement over the 16nm FinFET+ at the same power, it is projected to be 45 per cent more energy efficient and is predicted to provide 2.2 times higher density over 16nm FinFET+, which is a massive improvement. Risk production of 10nm FinFET chips is scheduled to start in the fourth quarter of 2015.

    At present TSMC is developing its 10nm FinFET manufacturing technology and not a lot of information is known about the process. What is obvious is that the new fabrication tech will not rely on the back-end of the 20nm process technology, like the company’s 16nm FinFET technologies do. As a result, it will be possible for fabless semiconductor makers not only shrink die sizes of their chips in 2017, but to reduce cost-per-transistor too.
    Keeping in mind that TSMC will only start volume production using 16nm FinFET technologies in the meaningful quantities in the fourth quarter of 2015, the majority of TSMC’s customers will not require 10nm process earlier than in the first half of 2017.
    Noticia:
    http://www.kitguru.net/components/gr...chips-in-2017/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  12. #12
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    AMD: We are actively designing 14nm FinFET chips

    Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing Co., which is a huge surprise.
    “We are actively designing a number of products in the 14nm FinFET process technology,” said Lisa Su, chief executive officer of AMD, during a conference call with investors and financial analysts.

    TSMC, the world’s largest maker of semiconductors, will offer its customers 16nm FinFET and 16nm FinFET+ manufacturing technologies starting from Q3 2015. By contrast, GlobalFoundries and Samsung Electronics will use 14nm LPE (low power early) and 14nm LPP (low power plus) fabrication processes to produce chips for their clients. In fact, Samsung is already making semiconductors using 14nm LPE technology, whereas GlobalFoundries expects to start making 14nm chips sometimes in the first half of the year.
    AMD did not reveal which of its chips will be made using 14nm FinFET fabrication process and which of the two technologies will be used. However, it is logical to expect the company to utilise various 14nm technologies for all types of its products, including accelerated processing units, central processing units, graphics processing units as well as semi-custom chips for various applications.

    Separately, AMD confirmed that it would reveal more details about its long-term roamdaps in the coming months at the financial analyst day.
    “Relative to timing on FinFET, we will be talking more about our long-term roadmaps at our financial analyst day,” said Ms. Su.
    Noticia:
    http://www.kitguru.net/components/gr...-finfet-chips/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  13. #13
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    AMD is already designing products on the 14nm node


    AMD is already working on products based on the 14nm process node, according to CEO Dr Lisa Su. The announcement was made at the company's earnings call meeting, held on January 20th, where AMD was keen to point out potential for future successes after the disappointing end to 2014 that saw them post a $403 million net loss for the year.
    AMD was not ready to specify, at this time, who its 14nm partner will be. Currently only GlobalFoundries and Samsung are working on the 14nm node so it could realistically be either of the two companies, AMD's close relations with GlobalFoundries do, however, point more towards that option.
    During mid-to-late 2015 TSMC will be driving its production towards 16nm while GlobalFoundries and Samsung will be pushing for a slight advantage with 14nm. Those 14nm offerings will come with LPE (low power early) and LPP (low power plus) variants, of which Samsung has already started production of the LPE type.
    It isn't known which AMD products will get the 14nm-makeover first, but its semi-custom APUs and other processors seem like the prime candidates given that segment of AMD's business has been so profitable. Dr Lisa Su announced that AMD would be revealing more details on its upcoming products and latest roadmaps during its financial analyst day.
    AMD's financial analyst day has been on-hold since late November when the company postponed the event to reshape its long term strategy under the new leadership of Dr Su. The date for the event remains unknown but most our recent information points toward next month.
    Noticia:
    http://hexus.net/tech/news/cpu/79758...cts-14nm-node/
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

  14. #14
    Tech Membro Avatar de Sardo
    Registo
    Oct 2013
    Local
    Aveiro
    Posts
    1,282
    Likes (Dados)
    0
    Likes (Recebidos)
    0
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Afinal ainda estrabucha

  15. #15
    Tech Ubër-Dominus Avatar de Jorge-Vieira
    Registo
    Nov 2013
    Local
    City 17
    Posts
    30,121
    Likes (Dados)
    0
    Likes (Recebidos)
    2
    Avaliação
    1 (100%)
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    Citação Post Original de Sardo Ver Post
    Afinal ainda estrabucha
    Serão os ultimos suspiros antes de ser comprada...
    http://www.portugal-tech.pt/image.php?type=sigpic&userid=566&dateline=1384876765

 

 
Página 1 de 9 123 ... ÚltimoÚltimo

Informação da Thread

Users Browsing this Thread

Estão neste momento 1 users a ver esta thread. (0 membros e 1 visitantes)

Bookmarks

Regras

  • Você Não Poderá criar novos Tópicos
  • Você Não Poderá colocar Respostas
  • Você Não Poderá colocar Anexos
  • Você Não Pode Editar os seus Posts
  •